欢迎来到冰豆网! | 帮助中心 分享价值,成长自我!
冰豆网
全部分类
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • 党团工作>
  • ImageVerifierCode 换一换
    首页 冰豆网 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    基于某51单片机交通信号灯课程设计.docx

    • 资源ID:5038280       资源大小:249.09KB        全文页数:11页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    基于某51单片机交通信号灯课程设计.docx

    1、基于某51单片机交通信号灯课程设计电子系统设计课程设计报告题目:交通信号灯控制器姓 名:院 系: 电 力 学 院 专业:学 号:指导教师: 完成时间: 年 月 日设计题目设计要求设计过程成绩评定指导教师评语课程设计等级一、任务和目的 二、总体设计 三、硬件设计:原理图(接线图)及简要说明四、程序框图和清单五、实验结果和体会目的和要求本次课程设计的目的是设计一个交通信号灯控制器,使其拥有以下功能:1.在十字路口每个入口处设置一个红绿灯,并且有数码管显示通行时间。2.主干道通行的时候支路禁止通行,支路通行时主干道禁止通行。3.主干道通行时间为45S,支路通行时间为25S,中间有5S黄灯过渡。4.在

    2、支路设有传感器,当检测不到有支路有车时,主干道将一直通行。总体设计核心为AT89C51单片机,输出计时秒表采用单片机程序输出BCD码再由74LS47译码至共阳数码管上。红绿灯输出则使用74LS160计数器的前四位进行循环译码至红绿灯,并且使用单片机程序控制输出固定脉冲至计数器CLK端使计数器按红绿灯计时跳变输出。检测支路是否来汽车可由在马路放置压力传感器,当有汽车停靠在白线等待红灯时,传感器向单片机某端口输入低电平使其被检测到。硬件设计红绿灯电路首先分析十字路口红绿灯总用会有多少种可能,如下表:支红(SR)支黄(SY)支绿(SG)主红(MR)主黄(MY)主绿(MG)00100001011000

    3、101000110011010100我们不难从表中看出,十字路口的红绿灯状态最多分为4中。所以我们可以取74LS160计数器的低2位进行编码。然后将每一位对应相应的显示规则,电路原理图如下:当74LS160输出为00时,4条译码规则中中只有NAND4这一条输出为高电平,此时对应状态为主干道绿灯亮,支路红灯亮。当74LS160输出为01时,对应主干道黄灯亮,支路红灯亮。当74LS160输出为10时,主干道红灯亮,支路绿灯亮。当74LS160输出为11时,主干道红灯亮,支路黄灯亮。当74LS160输出跳变至100时,Q2端向74LS160返回复位信号,重归00状态。因此,依照设计规则00状态与01

    4、状态期间间隔为45S,01与10为5S,10与11为25S,11与复位之间的间隔为5S。在实际电路中,红绿灯往往不是由几个单一的LED组成,而是由数量较多的LED组成灯珠。因此,单单靠单片机输出功率来带动红绿灯是不现实的。但是可以靠将以上4个译码输出加载在双极性晶体管作为驱动,而使用电力电子开关来控制电路。如下图:通过电力电子开关,可以使单片机电源与LED灯珠组电源隔离开,使得红绿灯能使用大功率的灯珠组。数码管电路数显电路采用由单片机P0.7P0.4输出BCD码高位,P0.3P0.0输出BCD码低位,再通过两个74LS47译码显示至共阳极的数码管上,电路原理图如下:数码管真值表。74LS47真

    5、值表。因此当P0口输出为45H00H时,数码管会显示相印的数字。检测车辆电路 由于支路只需要检测是否有车到来,而并不是需要反馈路上车辆的具体压力。因此无需在马路上设置特殊的压力传感器,只需通过车辆自身的压力使得单片机某段信号接地即可。以下为设想:当车辆在停靠线侧等待红灯时,由于车辆施加的压力,使得马路部小空间发生微小形变,使两个触点相接,并通过相应转换电路使得相当于单片机该端口接地,处于低电平状态。 或者是使用类似表面贴片式的形式来检测是否有车到来。红绿灯总体电路图程序框图主程序: ORG 00H AJMP START ORG 030HSTART: MOV P0,#088HPRE: MOV R

    6、7,#0 ;数显表显示偏移量,偏移量为0时首显为45 MOV R6,#40 ;偏移量40时首显为5 MOV R5,#20 ;首显为25C1: MOV A,R7 ACALL SEG45 MOV P0,A ;将数显表显示数值送入P0口 ACALL DELAY1 ACALL DELAY1 ;调用延时子程序 INC R7 ;显示一次偏移量+1 CJNE R7,#45,C1 ;是否显示45次 MOV R7,#00H ;重新填装偏移量 JB P2.5,C1 ;检测支路是否有车到来,若无车到来则继续主干道绿灯 CLR P2.4 ACALL DELAY5 SETB P2.4 ;向74LS160芯片输出一个CL

    7、K脉冲C2: MOV A,R6 ACALL SEG45 MOV P0,A ACALL DELAY1 ACALL DELAY1 INC R6 CJNE R6,#45,C2 MOV R6,#40 CLR P2.4 ACALL DELAY5 SETB P2.4C3: MOV A,R5 ACALL SEG45 MOV P0,A ACALL DELAY1 ACALL DELAY1 INC R5 CJNE R5,#45,C3 MOV R5,#20H CLR P2.4 ACALL DELAY5 SETB P2.4C4: MOV A,R6 ACALL SEG45 MOV P0,A ACALL DELAY1 A

    8、CALL DELAY1 INC R6 CJNE R6,#45,C4 MOV R6,#40 CLR P2.4 ACALL DELAY5 SETB P2.4 AJMP C1DELAY5: NOP ;脉冲延时 NOP NOP NOP NOP NOP NOP NOP NOP NOP NOP RETDELAY1: MOV R3,#250 ;500MS延时,调用两次即为1S延时D1: MOV R2,#250D2: NOP NOP NOP NOP NOP NOP DJNZ R2,D2 DJNZ R3,D1 RETSEG45: INC A ;秒表数显库 MOVC A,A+PC RET DB 045H,044H

    9、,043H,042H DB 041H,040H,039H,038H DB 037H,036H,035H,034H DB 033H,032H,031H,030H DB 029H,028H,027H,026H DB 025H,024H,023H,022H DB 021H,020H,019H,018H DB 017H,016H,015H,014H DB 013H,012H,011H,010H DB 009H,008H,007H,006H DB 005H,004H,003H,002H DB 001H,000H ;从450的2位BCD码 END器件清单AT89C51单片机,74LS160计数器,74LS

    10、47译码器,7SEG共阳极数码管,74LS00与非门,74LS04非门,ZTX360双极性晶体管,电容,12MHz晶振。检测车辆是否到来电路在仿真过程中暂时用一个刀闸开关接地来进行模拟。仿真模拟在Proteus ISIS环境中,该交通信号灯控制器能够完美的实现各路交通信号灯的指示,计时器也能正常工作。在刀闸开关开启时能模拟支路没有汽车到来的情况,当刀闸开关合上时,能也能模拟出支路有汽车的情况,和设计要求基本一致。课程设计体会经过这个课程设计,我真正体会到了学有所用而且也加强了自己对以前学习到知识的掌握,将单片机知识还有数字电路知识以及电力电子知识融合在一起,用来完成仿真实践。大大的提高了自己的

    11、动手能力和创新能力。同时也让我更加深刻的了解数字逻辑电路的重要性,真正体会到一种共同学习、共同进步的学习气氛,一种大讨论的学习氛围。这次设计对我来说感触最深的是,要做好一个设计,首先要了解每一个部分所涉及的知识点,掌握它的原理;然后将大的电路分成一个个模块进行设计仿真。当各模块设计仿真完成,并且能正常工作时,将其组装成一块成为一个整体电路,再稍加调试和修改便能基本完成所需要的任务。而且随着设计要求以及电路的复杂化,一个人单干效率往往不是很高。此时与同学合作,一同思考往往会取得更好的效果。而且整个设计我都参与其中,这令我的分析设计以及动手能力有了很大的提高,培养了我思考问题的全面性;让我明白,做学问要有一丝不苟的态度,遇到困难要有勇往直前的精神,做事情要有耐心和毅力,工作中要学会与人合作,认真听取他人意见,这样做事情才会顺手、顺心,举得事倍功半的效果。参考文献1.单片微机原理及应用 第3版 机械工业2.单片机原理、应用与PROTEUS仿真 电子工业3.数字电子技术基础 第五版 高等教育4.电力电子技术 第5版 机械工业


    注意事项

    本文(基于某51单片机交通信号灯课程设计.docx)为本站会员主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2022 冰点文档网站版权所有

    经营许可证编号:鄂ICP备2022015515号-1

    收起
    展开