欢迎来到冰豆网! | 帮助中心 分享价值,成长自我!
冰豆网
全部分类
  • IT计算机>
  • 经管营销>
  • 医药卫生>
  • 自然科学>
  • 农林牧渔>
  • 人文社科>
  • 工程科技>
  • PPT模板>
  • 求职职场>
  • 解决方案>
  • 总结汇报>
  • 党团工作>
  • ImageVerifierCode 换一换
    首页 冰豆网 > 资源分类 > DOCX文档下载
    分享到微信 分享到微博 分享到QQ空间

    南京航空航天大学光伏并网发电模拟装置孙农.docx

    • 资源ID:11158824       资源大小:2.92MB        全文页数:37页
    • 资源格式: DOCX        下载积分:3金币
    快捷下载 游客一键下载
    账号登录下载
    微信登录下载
    三方登录下载: 微信开放平台登录 QQ登录
    二维码
    微信扫一扫登录
    下载资源需要3金币
    邮箱/手机:
    温馨提示:
    快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
    如填写123,账号就是123,密码也是123。
    支付方式: 支付宝    微信支付   
    验证码:   换一换

    加入VIP,免费下载
     
    账号:
    密码:
    验证码:   换一换
      忘记密码?
        
    友情提示
    2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
    3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
    4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
    5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

    南京航空航天大学光伏并网发电模拟装置孙农.docx

    1、南京航空航天大学光伏并网发电模拟装置孙农C2000参赛项目报告(命题组)题 目: 光伏并网发电模拟装置 学 校: 南京航空航天大学 指导教师: 邢岩(教授) 参赛队成员名单(含个人教育简历):夏炎冰、研究生一年级、南京航空航天大学孙 农、研究生一年级、南京航空航天大学冯兰兰、研究生一年级、南京航空航天大学光伏并网发电模拟装置夏炎冰 孙农 冯兰兰(南京航空航天大学自动化学院 邮编210016)摘要:本设计以TMS320F28027DSP为控制芯片,制作了一台单级式光伏并网模拟装置。本装置采用电压型全桥为主电路拓扑,运用软件锁相环技术进行输出相位跟踪控制,采用扰动观察法实现最大功率点跟踪控制。样机

    2、的系统测试,验证了装置设计的可行性和可靠性。关键词:DSP、逆变器、锁相,最大功率点跟踪Grid Connected Photovoltaic Generation SimulatorXiayanbing , Sunnong , Fenglanlan(College Of Automation Engineering , Nanjing University of Aeronautics and Astronautics)Abstract: In our design , a single-stage grid connected photovoltaic generation simulat

    3、or is developed ,which is controlled by TMS320F28027 DSP chip. In the device, the voltage type bridge is adopted as the main circuit topology; the Soft-PLL technology is carried on the output phase tracking; the desirably observe method is adopted to solve MPPT(Maximum Power Point Tracking). The pro

    4、totype system test verified the feasibility and reliability of our design.Key words: DSP, Inverter, PLL, Maximum Power Point Tracking目 录1. 引言 22. 系统指标 23. 系统方案 33.1总体介绍 33.2 锁相环原理 43.3 最大功率点跟踪控制原理 43.4 SPWM控制技术 63.5 偏磁校正 74. 系统硬件设计 84.1 全桥功率电路 84.1.1 开关管设计 84.1.2 滤波电感和电容的设计 94.2 DSP调理电路设计 94.2.1 全桥输

    5、入端电压采样电路 94.2.2 全桥输入端电流采样电路 104.2.3 全桥输出电压采样调理电路 114.2.4 全桥输出电流采样调理电路 114.2.5 1V基准产生电路 124.2.6 捕获电路 134.3 DSP核心控制板电路 134.3.1 供电电路设计 134.3.2 DSP时钟电路 134.3.3 开关机信号电路 144.4 电源管理模块 144.5 保护电路 155 系统软件设计 155.1 整体结构说明 155.2 程序流程图 166. 测试结果与分析 206.1 测试仪器 206.2 测试项目及结果 206.2.1 最大功率点跟踪功能 216.2.2 频率跟踪 226.2.3

    6、 效率及THD 236.2.4 输出缓起 236.2.5 输出接容性负载 246.2.6 欠压及过流保护 256.3 结论 257附录: 271. 引言 我们选择了命题A,题目的要求是制作一台光伏并网发电模拟装置,其具有最大功率点跟踪(MPPT)功能,且输出信号具有频率跟踪功能。 能源是人类经济以及文化活动的动力来源。近年来随着能源短缺以及长期应用石油、煤矿等燃料资源而引起的温室效应、全球变暖等可怕趋势的严重威胁,为此开发利用绿色能源成为全球积极探索研究的课题。太阳能作为一种取之不尽,安全、清洁的资源,是一种理想的绿色能源。国际光伏市场开始由边远农村和特殊应用向并网发电和与建筑结合供电的方向发

    7、展。 数字控制因为能实现较为复杂的控制算法、简化硬件电路结构、较为方便的系统调试,在现在电力电子装置中越来越多得到运用。DSP作为一种能快速高效处理数字信号的控制芯片,逐渐成为电力电子装置的主要器件。C2000系列的DSP提供多种控制系统使用外围设备,适合控制领域,本设计基于TMS320F28027 DSP平台,完成了光伏并网发电模拟装置的制作与测试。2. 系统指标本设计达到题目要求的基本指标及部分发挥指标。现将题目的要求指标和本设计所达到的各项指标在表1中进行比较。类型序号项目与指标测试记录基本要求(1)最大功率点跟踪功 能RL=30时,测量RS=30和RS=36时的Ud,分别记为Ud1和U

    8、d2US= 60 VUd1=29.7330.18V,Ud2= 29.730.2VRL=36时,测量RS=30和RS=36时的Ud,分别记为Ud1和Ud2 US= 60 VUd1=29.7430.22V,Ud2=29.6430.26V(2)频率跟踪功能:RS=RL=30时,测量不同fREF下的fF fREF=45HzfF= 45.09 HzfREF=50HzfF= 50.20 HzfREF=55HzfF= 55.05 Hz(3)RS=RL=30时,测量效率:60%满分,每降低1%扣1分Uo1= 29.05 V Io1= 0.958 AUd=29.7330.18V Id= 11.06 A= 87.

    9、5 %(4)RS=RL=30时,测量uo的失真度:THD 5%满分,每增加1%扣1分THD = 1.6 %(5)欠压保护欠压保护功能(有 );动作电压Ud(th)= 25 V(6)过流保护功能过流保护功能(有 );动作电流Io(th)= 1.32 A发挥部分(1)80%满分,每降低1%扣0.5分= 88.5 %(2)THD 1%满分,每增加1%扣1分THD = 1.6 %(3)相位跟踪功能:RS=RL=30时,测uF与uREF的相位差测量不同fREF下的:= 05 := 05 := 05 测量容性负载下的:= 05 := 05 := 05 (4)自动恢复功能有 (5)其他锁相成功后输出缓起3.

    10、 系统方案3.1总体介绍 总体电路结构图如图1所示:包括模拟光伏电池(PV)、全桥逆变器、滤波器、并网开关、输出变压器、控制电路等。功率主电路方面,模拟光伏电池给电容充电提供直流母线电压,通过全桥逆变成交流电后再经过滤波输出;控制电路方面主要就是DSP采样调理电路和驱动电路。图3.1 样机电路结构图 本系统基本控制策略为:从模拟光伏电池的输出端采入电压、电流量,在DSP中通过MPPT子程序得出光伏电池在最佳工作点处对应的电压值Vm,将此Vm作为光伏电池输出电压的基准,Vm与Vpv做差再做PI调节,将调节器的输出与同步锁相PLL得到的同步信号相乘得到电压内环输出参考电压Vref,由于接工频变压器

    11、输出,则加入偏磁校正,即Vref减去电压内环调节器输出的平均值,结果再与输出电压反馈Vo相比较得到Verr,最后通过PI调节后作为调制波送入PWM产生电路,产生SPWM波通过驱动电路来控制全桥逆变器各开关管的开关状态。3.2 锁相环原理锁相环的功能是实现并网电流始终与电网电压同频同相,从而达到功率因数始终为1。在实际系统中,很难直接判断正弦信号之间的相位差,而判断方波信号的周期以及方波信号之间的相位差则比较简便,因此锁相的目标是控制并网逆变器的电流给定正弦信号与电网电压同频同相。在程序中,正弦波被分为了400个点,全桥的开关频率是20kHz,如果模拟电网参考信号的周期是0.02s,那么只需调整

    12、正弦波的起始点是捕获模拟市电的正向过零点,即可保证与市电同频同相。如果模拟电网参考信号的周期不是50Hz,那么就需要相应的调整开关频率的大小,使得N是正弦波的点数,fs是开关频率,fref是模拟电网频率。调整的瞬态过程如图2.3所示图3.2 锁相的瞬态调整过程3.3 最大功率点跟踪控制原理最大功率点跟踪技术是光伏发电的关键技术。太阳能电池的输出电压与输出电流成非线性关系,并且输出功率会随着日照与温度的变化而改变,输出功率受环境因素的影响不可忽略,因此必须根据太阳能电池所能产生最大功率调节输出,才能达到最大的功率转换效率。扰动观察法是借以周期性的改变负载大小来改变太阳能电池的输出电压及功率,也就

    13、是改变太阳能电池的工作点,并且观察比较变动前后两次的输出功率和输出电压的大小,来决定下个周期负载的变动是增载还是减载。方法基本原理优缺点应用扰动观察改变太阳能板的端电压,观察、比较变动前后输出功率的大小来决定下一步电压增、减动作来达到跟踪目的结构简单,需要观测参数较少;在最大功率附近存在振荡损耗多变环境,是目前应用较多的方法介于扰动观察法的结构简单、需要测量的参数较少、容易实现的优点,本设计采用扰动观察法来实现MPPT,下面来着重分析讨论扰动观察法。其基本原理为:由光伏电池输出功率与工作电压的物理特性,可知在某一个日照及温度环境下,唯一的工作电压对应唯一的输出功率,那么就可以通过控制光伏电池工

    14、作的工作电压来控制太阳能电池的输出功率,给定不同的工作电压,则可得到不同的输出功率,所以扰动观察法实现最大功率点跟踪的中心任务就是找到最大功率点处的工作电压值。如图3.3.1所示,将采样计算得到当前次的输出功率Pn+1与前一次的输出功率Pn+1作比较,假设Pn+1Pn,那么将工作电电压基准向着这一次变化的方向改变,反之,若输出功率变小的话,则在下个周期改变变化的方向,如此反复的扰动、观察和比较,使太阳能达到其最大功率点。控制框图如图3.3.2所示,采样PV的输出电压、电流计算出输出功率,通过对前后两次输出功率、电压的比较关系给出参考基准量送入控制器来调节变换器以达到最大功率点跟踪。图3.3.1

    15、 扰动观察法跟踪示意图图3.3.2 扰动观察法控制框图在看到扰动法优点的同时,也有其难以避免的问题:太阳能电池不可能一直工作在最大工作点上,只能在附近震荡运行,导致扰动损耗;在日照突变的情况下,会发生误判现象。由于日照会发生瞬间的突然变化,比如云彩的遮挡,这时对太阳能电池来说,其P-V曲线就会发生变化。当使用扰动观察法进行MPPT时,如图3.3.3(a)所示,假定系统已经工作在最大功率点附近,此时输出电压Va,输出功率Pa,按照扰动算法,电压扰动向右移动到Vb,如果日照无变化,那么输出功率PbPa,但是如果日照减弱,则工作曲线将发生变化,则Vb对应的输出功率为Pc,而PcPa,系统就会误判电压

    16、的扰动方向,从而控制输出电压往左扰动,如果日照持续减弱,系统就可能不断误判,使输出电压不断降低,直至停止工作。与此相反的还有一种误判情况,如图3.3.3(b)所示是太阳能电池的P-V特性曲线,假定初始工作点在A点,如果日照不变则输出电压V应该向着减小的方向变化到最大功率点处,但是由于此时日照强度变强,所以在下次采样得到的功率B的功率比A点还大,则会出现输出电压继续变大的情况,由于日照渐渐变大,则只要满足当前次的功率大于前一次的功率值,太阳能电池的输出电压就会一直增大,不过这种误判可以自调节,日照不可能一直迅速的增大下去,最终会回到正常的最大功率跟踪过程去。对于这两种由于日照变化造成的误判,可以

    17、通过加大扰动的频率和减小扰动的步长来尽可能消除。(a)(b)图3.3.3 扰动观察法误判示意图3.4 SPWM控制技术SPWM(Sinusoidal PWM)调制技术是指使输出脉冲的宽度按正弦规律变化且和正弦波等效的脉宽调制技术。这种技术在逆变电路中的应用十分广泛,目前中小功率的逆变电路几乎都采用了SPWM技术。逆变电路时PWM控制技术最为重要的应用场合。逆变控制中引入SPWM技术所起的重要作用是它较好地抑制了谐波。根据理论分析,载波频率越高,SPWM波形中谐波频率就越高,所需滤波器的体积就越小。但载波频率升高使功率器件的开关频率上升,从而导致开关损耗的增大。因此,实际控制中常采用单级倍频式S

    18、PWM技术,即桥臂的PWM频率是逆变桥功率器件开关频率fs的2倍。倍频技术的应用较好地缓和了谐波抑制与效率提高之间的矛盾,其实现仅需适当安排逆变器件的控制脉冲时序。图3.4.1给出了倍频式SPWM控制信号产生原理图。从图上可以看出倍频式PWM信号的产生比传统方式更加复杂,但本文所设计的系统是基于DSP来实现的,故并没有对硬件电路设计有额外的要求。需要注意的是产生的PWM门极驱动信号与桥式逆变器中四个功率管必须是对应的,否则会导致逆变的失败。图3.4.1 单极性倍频SPWM产生机理上图中单极性倍频SPWM调制在DSP中实现的控制框图如图3.4.2所示。图3.4.2 DSP中实现单极性倍频SPWM

    19、 3.5 偏磁校正 使用全桥作为逆变器拓扑、输出端接工频变压器时,各种因素导致桥臂电压不可避免地包含直流分量,引起输出变压器单向偏磁。在数字控制逆变器中,DSP存在字长的问题,只能保留运算结果的若干有效位,在进行数字PI运算时会引起截断误差(运算结果丢失部分值),且数字PI中积分环节会对截断误差不断累积,导致数字调制信号发生直流漂移,使逆变器桥臂输出电压中出现直流分量。此外,调理电路的直流基准漂移在控制变量的采样结果中引入直流分量,同样会导致输出变压器或滤波电感的偏磁。在本设计通过软件调零方式来消除调理电路基准浮动对变压器偏磁的影响,而为了消除数字电压调节器输出信号的截断误差积累引起的偏磁,设

    20、计中将电压调节器输出的平均值注入电压基准中,使电压调节器的输出信号中心值不发生偏移。偏磁调节原理如图3.5所示。图3.5 数字控制逆变器偏磁调节原理图 图3.5中,逆变输出电压采样信号与来自调理电路的直流参考电压Udc进行叠加后,经DSP自带的A/D转换器的采样转换,得到的数字信号Voad中包含由于Udc引起的额外直流分量。受调理电路精度的限制,直流参考电压Udc不可避免的偏离理论值。为了消除此偏移值,将Voad与其平均值相减,即可实现抑制调理电路直流参考电压的飘移引起的偏磁问题。为了消除数字电压调节器输出信号的截断误差累积引起的偏磁,将调节器输出Verr的平均值注入数字电压基准正弦信号中,抵

    21、消调节器引起的截断误差累积,使Verr中心值不发生偏移,注入基准的平均值必须进行衰减及限幅,保证偏磁调节的有效性。4. 系统硬件设计系统硬件部分由以下五部分组成:全桥功率电路,DSP调理电路,DSP核心控制板电路,电源管理模块,保护电路。4.1 全桥功率电路4.1.1 开关管设计 由于稳态时输入端,即串接在US与全桥电路之间的电阻压降为,则,输入功率,输出功率最大为,则全桥变换器输出电流,即变压器原边电流最大值为(其中n=2为变压器副边与原边的匝比数,Iomax为变压器副边电流最大值,RLmin=30为负载电阻最小值)。 而变换器未启动时,输入端,设过载系数=2,开关管Q1Q4电流电压应力为:

    22、 根据市场上及实验室开关管器件大小,选取场效应管IRFP 150 1M4Y(100V/40A)。桥式变换器的开关管尽量不要选用Cool Mos,因为Cool Mos的体二极管反向恢复时间很长。桥式变换器的开关管电压尖峰与体二极管的反向恢复时间有着密切的关系,体二极管反向恢复时间越小,开关管的电压尖峰越小。4.1.2 滤波电感和电容的设计 输出滤波器选择常见的LC滤波。 假设允许电感电流脉动。实际选取Lf=1mH。光伏并网逆变器在单极性倍频SPWM调制方式下,输出最低次高次谐波为逆变器开关频率的2倍,取输出低通滤波器截止频率小于等于最低次高次谐波的1/10,则实际选用一个0.1u和0.047u的

    23、CBB电容并联使用。4.2 DSP调理电路设计4.2.1 全桥输入端电压采样电路 全桥输入电压信号通过霍尔传感器来进行隔离采样转变为电流信号,电流流过R111、R112后又得到一个电压信号,送入调理电路转换成合适的电压送入DSP的A/D转换端,电路图如图4.2.1所示。霍尔采用中旭公司HNV025A型。 由于变换器在一开始工作时,输入电压为60V,所以初级电阻Ri选用5.5K,采取8个电阻串并联组成。电压霍尔输出电流Is1及调理电路输入电压Vpv为:取R111=R112=240,则Vpvin的变化范围为03.273V。 由图右半部分调理电路所示,输入DSP的电压Va与Vpvin的关系如下:取R

    24、202=12K,R203=10K,R205=10K,R207=10K,则Va的范围为02.727V。图4.2.1 全桥输入电压采样调理电路4.2.2 全桥输入端电流采样电路 全桥输入电流IPV通过电流霍尔传感器HNC10SY转换成电压信号Ipvin,再经过调理电路转换成合适的电压送入DSP的A/D转换端,电路图如图4.2.2所示。图 4.2.2 全桥输入电流采样调理电路 全桥变换器输入电流Ipv为:当全桥变换器启动后,其输入电压Vin从Us一直降至稳态的0.5Us,而串接电阻Rsmin=30,则输入电流最大值Ipvmax=30/30=1A。则霍尔输出电压Ipvin的最大值为:,所以Ipvin的

    25、范围为00.4V。由图4.2.2中调理电路可知,输入DSP中的电压Ia:取R246=2K,R247=13K,R249=R250=10K,则Ia的变化范围为02.6。4.2.3 全桥输出电压采样调理电路 全桥输出电压Vo经过霍尔传感器(HNV025A)转变为电流信号,电流流过R113、R114后又得到一个电压信号Voin,送入调理电路转换成合适的电压送入DSP的A/D转换端。由于全桥逆变器输出是一交流量,而DSP只能接收0+3.3V电压,因此霍尔的输出电压Voin需经过中心值偏移(本设计中在Voin中加入1.5V直流偏置)。电路图如图4.2.3所示。图4.2.3 全桥输出电压采样调理电路 由于全

    26、桥最大输出功率Pomax=30W,则输出电压最大为(n为副边与原边匝数比,RLmax为变压器副边的负载最大值),所以初级电阻Ri2选用2.5K,采用8个电阻串并联得到。则电压霍尔输出电流IS2及调理电路输入电压Voin为:取R113=R114=240,则Voin的变化范围为-2.789+2.789。 由右半边的调理电路知,送入DSP的电压值Vb与Voin有如下关系:取R101=R106=20K,R104=16K,R107=10K,则Vb的变化范围为0.384V2.616V。4.2.4 全桥输出电流采样调理电路 全桥输出电流Io通过电流霍尔传感器HNC10SY转换成电压信号Ioin,再经过调理电

    27、路转换成合适的电压送入DSP的A/D转换端,与输出电压采样一样,输出电流采样值也需加1.5V直流偏置。电路图如图4.2.4所示。图4.2.4 全桥输出电流采样调理电路由于全桥最大输出功率Pomax=30W,输出电流最大为,则电流霍尔输出电压最大值为Ioinmax=0.4Iomax=1.131V,Ioin的范围为-1.131+1.131V。 由图中右半边的调理电路可得,送入DSP的电压值Ib与Ioin有如下关系:取R110=R116=10K,R115=20K,R113=16K,则Vb的变化范围为0.5952.405V。4.2.5 1V基准产生电路 在交流量采样调理电路中为了使送入DSP的电压值没

    28、有负值而加入了+1.5V直流偏置。由上面的调理电路图可知,前一个运放电路构成一个反相比例运算电路,后一个运放电路构成一个差动比例运算电路,实际电路中只是在第二个运放的同相输入端接入了+1V的电压,通过调整差动比例运算电路的比例系数得到1.5V偏置。 为了使输入DSP的交流采样值非负且采样准确,本设计中设计了一个1V基准产生电路,如图4.2.5。1V基准产生电路主要由TL431和两个电压跟随器组成,通过TL431内部的2.5V基准产生一个3V的基准,经过R405及R406分压得到1V基准,跟随器作用使得基准变成电压源,使得后级电路对其不产生影响。图4.2.5 1V基准产生电路4.2.6 捕获电路

    29、 由于跟踪模拟市电时需要捕获其正向过零点,所以需设计电压过零捕获电路,其具体的电路如图4.2.6所示。捕获电路原理:采样模拟电网电压与0V作比较,当模拟电网电压大于0时,输出高电平,当模拟电网电压低于0V时,得到零电平,从而得到一个方波信号,作为DSP的CAP的输入。图4.2.6 捕获电路4.3 DSP核心控制板电路4.3.1 供电电路设计 TMS320F28027DSP有两种电源,I/O电源3.3V,核心电源1.8V。由于其内部集成有将3.3V电源转成1.8V模块,所以芯片只需3.3V输入,省去1.8V电源输入,且由于28027其内部已经集成系统上电复位和电源监测功能,并且有看门狗复位功能,

    30、无需外置电源监测和复位模块。结合Ti公司给的开发板以及以往器件使用对照,采用Ti公司生产的TLV1117作为5V转换成3.3V的控制芯片,供电电路图如图4.3.1所示,参考TLV1117的DATASHEET,得出R101为220,C101和C104选择47uF。图4.3.1 DSP供电电路4.3.2 DSP时钟电路由于28027的DA转换两个引脚SPISTE,SPICLK分别与XCLKIN、XCLKOUT复用,参照Ti开发板上原理图,选用外接HC49无源晶体作为时钟信号源,选用X1,X2作为时钟信号引脚。其电路图如下图4.3.2。参照28027DATASHEET,X1/X2管脚接入无源晶体频率要求20M35MHZ,选用20M无源晶体,R001为4.7K,C001、C002为24PF。图4.3.2 时钟电路4.3.3 开关机信号电路 作为一个变换器,开、关机是必要的操作。因此设计了如图4.3.3所示的开关机电路图。图4.3.3 开关机电路图74LVT245是一个双向缓冲芯片,可自定义信号传输方向,本设计中定义B为输入,A为输出,KEY1为开机信号,KEY2为关机信号。当按下开关ON的时候,KEY1为高电平,DSP中GPIO


    注意事项

    本文(南京航空航天大学光伏并网发电模拟装置孙农.docx)为本站会员主动上传,冰豆网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知冰豆网(点击联系客服),我们立即给予删除!

    温馨提示:如果因为网速或其他原因下载失败请重新下载,重复下载不扣分。




    关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

    copyright@ 2008-2022 冰点文档网站版权所有

    经营许可证编号:鄂ICP备2022015515号-1

    收起
    展开