四位数值比较器.docx
- 文档编号:11137534
- 上传时间:2023-02-25
- 格式:DOCX
- 页数:8
- 大小:690.41KB
四位数值比较器.docx
《四位数值比较器.docx》由会员分享,可在线阅读,更多相关《四位数值比较器.docx(8页珍藏版)》请在冰豆网上搜索。
四位数值比较器
四
位
数
值
比
较
器
班级:
电子信息工程
(2)班
姓名:
林贤款
学号:
Xb13610208
时间:
2015.12—2015.12
一、实验目的。
1、设计四位二进制码比较器,并在QuantusII上进行仿真。
2、掌握VHDL设计实体的基本结构及文字规则。
二、实验要求。
1、用VHDL语言编写四位二进制码 比较器的源文件;
2、对设计进行仿真验证;
三、实验原理。
本实验实现要实现两个4位二进制码的比较器。
即当输入为两个4位二进制码
和
时,输出为M(A=B),G(A>B)和L(A
用高低电平开关作为输入,发光二极管作为输出。
当A=B时,M处接的二极管亮;当A>B时,G处接的二极管亮;当A
具体管脚安排根据试验系统的实际情况自行定义。
四、实验器材。
1、EDA开发软件一台;
2、装有QuantusII软件电脑一台。
五、实验步骤。
1、打开软件。
快捷工具栏:
提供设置(setting),编译(compile)等快捷方式,方便用户使用,用户也可以在菜单栏的下拉菜单找到相应的选项。
菜单栏:
软件所有功能的控制选项都可以在其下拉菜单中找到。
信息栏:
编译或者综合整个过程的详细信息显示窗口,包括编译通过信息和报错信息。
2、新建工程。
(1) 选择File菜单下New Project Wizard。
(2) 输入工作目录和项目名称。
(3) 加入已有的设计文件到项目,可以直接选择Next,设计文件可以在设计过程中加入。
(4) 选择设计器件。
(5) 选择第三方EDA综合、仿真和时序分析工具。
(6) 建立项目完成,显示项目概要。
3、添加文件(file>new> VHDL file),新建完成之后要先保存。
4、编写程序(原程序如下a所述)。
5、检查语法(点击工具栏的这个按钮
)。
6、锁定引脚,点击工具栏的
(如下管脚分配所述)。
六、实验结果。
1、编译结果无误图。
2、仿真波形图:
当
=1011,
=1101时,A
3、管脚分配图。
查找开发板管脚分配图,按照规定给相应输入输出端分配管脚,分配要合理、方便观察结果。
七、实验心得。
本次实验中,自己进一步理解了比较器的原理。
当A、B输入端分别输入任意的电平时,会进行一个比较。
若①AB时,则G输出端接的发光二极管亮;③A=B时,则M输出端接的发光二极管亮。
输出结果要写一个完整的,健壮的程序,必须考虑完全,并且要使程序的可综合性强,最主要的一点是要勤动手,多写程序,多思,才能有所提高。
知道了学以致用的重要性,学的很多知识不运用不能是真正的掌握,这一点在我身上很是有所体现。
四位比较器VHDL源文件:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity comp4 is port (A:
in std_logic_vector(3 downto 0); B:
in std_logic_vector(3 downto 0);
M,G,L:
out std_logic);
end comp4;
architecture behave of comp4 is begin p1:
process(A,B) begin
if (A>B) then G<='1';M<='0';L<='0';
elsif (A
elsif (A=B) then G<='0';M<='1';L<='0';
else G<='1';M<='1';L<='1';
end if;
end process p1;
(注:
可编辑下载,若有不当之处,请指正,谢谢!
)
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- 数值 比较